== Using UML for ORBIT Node virtualization == The goal of this web page is to provide a brief overview of how the ORBIT grid could be used to evaluate the performance of UML based virtualization. == Outline == 1. Load the concerned ORBIT nodes with the image "uml_orbit.ndz" using the omf load command. 2. Once the image is loaded, create the desired tun/tap devices using the command tunctl {{{ tunctl -u devname }}} 3. Setup appropriate IP addresses for the tap interface. 4. Ensure IP forwarding is enabled on the host. This can be done by: {{{ host# echo 1> /proc/sys/net/ipv4/ip_forward }}} 5. Also set proxy_arp by: {{{ host#ech0 1 > /proc/sys/net/ipv4/conf/tap0/proxy_arp }}} 6. Make appropriate changes to the kernel routing table to ensure packets can be sent to and from the interface. 7. Start the UML instance == Additional Notes == Additional information on setting up and using UML is provided here: http://user-mode-linux.sourceforge.net/